Технология производства цифровых микросхем. Как работает микроэлектронное производство и что нам стоит дом построить

Технология изготовления интегральных микросхе

Производство интегральных микросхем состоит из ряда операций, выполняя которые постепенно из исходных материалов получают готовое изделие. Количество операций технологического процесса может достигать 200 и более, поэтому рассмотрим только базовые.

Эпитаксия - это операция наращивания на подложке монокристаллического слоя, повторяющего структуру подложки и ее кристаллографическую ориентацию. Для получения эпитаксиальных пленок толщиной от 1 до 15 мкм обычно применяется хлоридный метод, при котором полупроводниковые пластины после тщательной очистки поверхности от различного рода загрязнений помещают в кварцевую трубу с высокочастотным нагревом, где пластины нагреваются до 1200±3 оС. Через трубу пропускают поток водорода с небольшим содержанием тетрахлорида кремния. Образующиеся при реакции атомы кремния занимают места в узлах кристаллической решетки, из-за чего растущая пленка продолжает кристаллическую структуру подложки. При добавлении в смесь газов газообразных соединений доноров наращиваемый слой приобретает дырочную проводимость.

Легирование - это операция введения примесей в подложку. Существуют два метода легирования: диффузия примесей и ионная имплантация.

Диффузия примесей представляет собой обусловленное тепловым движением перемещение частиц в направлении убывания их концентрации. Основной механизм проникновения примесных атомов в кристаллическую решетку состоит в их последовательном перемещении по вакансиям решетки. Диффузия примесей осуществляется в кварцевых печах при температуре 1100-1200 оС, поддерживаемой с точностью ±0,5 оС. Через печь пропускается нейтральный газ-носитель (N2 или Аг), который переносит частицы диффузанта (В2О3 или Р2О5) к поверхности пластин, где в результате химических реакций выделяются атомы примесей (В или Р), которые диффундируют вглубь пластин.

Ионное легирование широко используется при создании БИС и СБИС. По сравнению с диффузией процесс ионного легирования занимает меньше времени и позволяет создавать слои с субмикронными горизонтальными размерами, толщиной менее 0,1 мкм, с высокой воспроизводимостью параметров.

Термическое окисление применяется для получения тонких пленок диоксида кремния SiО2, оно основано на высокотемпературных реакциях кремния с кислородом или кислородосодержащими веществами. Окисление происходит в кварцевых печах при температуре 800-1200 оС с точностью ±1 оС..

Травление применяется для очистки поверхности полупроводниковых пластин от различного рода загрязнений, удаления слоя SiО2, также для создания на поверхности подложек канавок и углублений. Травление может быть как жидкостным, так и сухим.

Жидкостное травление осуществляется с помощью кислоты, либо щелочи. Кислотное травление применяют при подготовке пластин кремния к изготовлению структур микросхем с целью получения зеркально гладкой поверхности, а также для удаления пленки SiО2 и формирования в ней отверстий. Щелочное травление применяют для получения канавок и углублений.

Литография - это процесс формирования отверстий в масках, применяемых для локальной диффузии, травления, окисления и других операций. Существует несколько разновидностей этого процесса.

Фотолитография основана на использовании светочувствительных материалов - фоторезистов, которые могут быть негативными и позитивными. Негативные фоторезисты под действием света полимеризуются и становятся устойчивыми к травителям. В позитивных фоторезистах свет, наоборот, разрушает полимерные цепочки, поэтому засвеченные участки фоторезиста разрушаются травителем. При производстве ППИС слой фоторезиста наносят на поверхность SiО2, а при производстве ГИС - на тонкий слой металла, нанесенный на подложку, или на тонкую металлическую пластину, выполняющую функции съемной маски.

Необходимый рисунок элементов ИС получают путем облучения фоторезистасветом через фотошаблон, представляющий собой стеклянную пластину, на одной из сторон которой имеется позитивный или негативный рисунок элементов ИС в масштабе 1:1. При производстве ИС используется несколько фотошаблонов, каждый из которых задает рисунок тех или иных слоев (базовых и эмиттерных областей, контактных выводов и т. д.).

После облучения светом неполимеризованные участки фоторезиста удаляются травителем и на поверхности SiО2 (или металлической пленки) образуется фоторезистивная маска, через отверстия в которой осуществляют травление SiО2 (или металлической пленки), в результате чего рисунок фотошаблона оказывается перенесенным на поверхность подложки.

Рентгеновская литография использует мягкое рентгеновское излучение с длиной волны около 1 нм, что позволяет получить D » 0,1 мкм. Фотошаблон в этом случае представляет собой такую мембрану (около 5 мкм), прозрачную для рентгеновских лучей, на которой методом электронно-лучевой литографии создан рисунок элементов ИС.

Ионно-лучевая литография использует облучение резиста пучком ионов. Чувствительность резиста к ионному облучению во много раз выше, чем к электронному, что позволяет использовать пучки с малыми токами и соответственно малым диаметром (до 0,01 мкм). Система ионно-лучевой литографии технологически совместима с установками ионного легирования.

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем?

Вам не приходилось бывать в сердце полупроводниковой индустрии - на фабрике по производству микросхем? Каждое подобное сооружение - творение, способное впечатлить любого, даже непосвященного в производственные процессы человека.

У побывавших там возникало ощущение, будто совершаешь фантастическое путешествие в футуристический муравейник роботов или внутрь самой микросхемы. Там, в стерильном зале размером с три футбольных поля, снуют роботы и десятки специалистов, облаченных в скафандры и защитные шлемы. А высокоточные машины для производства микросхем «парят» на специальных платформах, освещенные желто-оранжевым светом…

Этапы производства кристаллов микросхем и фотолитография

Интегральные микросхемы делают на поверхности монокристаллического кремния (Кремний (Si) используется потому, что он является наиболее подходящим для этих целей полупроводником. В свою очередь, полупроводники - это класс материалов, чья электрическая проводимость находится посреди между проводимостью проводников (главным образом, металлов) и изоляторов (диэлектриков). Кремний также может выступать как в качестве диэлектрика, так и в качестве проводника - в зависимости от количества и типа присутствующих в нем примесей других химических элементов. И эта особенность широко используется при производстве микросхем. Впрочем, в редких случаях вместо кремния применяют и другие материалы. В частности, Intel умеет внедрять в свой 90-нм техпроцесс биполярные транзисторы с гетеропереходами (HBT) на кремний-германии (SiGe)) путем последовательного создания различных слоев на тонкой (меньше миллиметра) круглой (диаметром до 30 см) кремниевой пластине, именуемой подложкой [Тонкие пластины нарезаются из тяжеленной длинной цилиндрической болванки монокристаллического кремния, которая выращивается специальным прецизионным способом. Затем пластины полируются до зеркального блеска механическими и химическими методами. «Рабочая» поверхность (то есть та, на которой далее создается микросхема) пластины должна быть гладкой и совершенной на атомарном уровне и иметь весьма точную кристаллографическою ориентацию (подобно различным граням бриллианта при огранке, но еще более совершенной)]. Слои формируются при помощи различных процессов с использованием химических реактивов, газов и света. Производство современных микропроцессоров является сложным процессом, состоящим из трехсот с лишним шагов - более двадцати слоев «витиевато» соединены между собой, дабы сформировать схему микропроцессора с трехмерной структурой. Точное число слоев на подложке (вафле) зависит от дизайн-проекта конкретного процессора. Сотни идентичных микропроцессоров создаются на одной кремниевой подложке и на финальной стадии разрезаются на отдельные прямоугольные кристаллы - чипы.

Процессы формирования различных слоев и рисунков элементов микросхемы на подложке достаточно хитроумны (фактически это целая область науки), однако в их основе лежит одна простая идея: поскольку характерные размеры создаваемого рисунка настолько малы (Например, ячейка кэш-памяти процессора на 90-нм ядре Prescott в сто раз меньше красной кровяной клетки (эритроцита), а один ее транзистор - величиной с вирус гриппа), что осаждать те или иные материалы в нужных местах просто невозможно, поступают проще - материал осаждают сразу на всю поверхность подложки, а затем его аккуратно удаляют из тех мест, где он не нужен. Для этого служит процесс фотолитографии.

Что такое «чистая комната» и почему они используются на полупроводниковых фабриках?

Кристаллы микросхем должны производиться в условиях контролируемого и очень чистого воздуха. Поскольку функциональные элементы (транзисторы, проводники) на микрочипах очень малы, любая чужеродная частица (пыль, дым или чешуйки кожи), попавшая на пластину с будущими микросхемами на промежуточных стадиях ее производства, способна вывести из строя целый кристалл. «Чистые комнаты» классифицируются по размеру и количеству микрочастиц, присутствующих в единице объема (кубическом футе, примерно равном одной тридцатой части кубометра) воздуха. Например, комнаты класса 1, используемые в современном производстве, примерно в тысячу раз чище, чем хирургическая операционная. «Чистая комната» управляет чистотой воздуха путем фильтрации поступающего воздуха, удалением грязи с установок, ламинарным перемещением воздуха от потолка к полу (примерно за шесть секунд), регулировкой влажности и температуры. Люди в «чистых комнатах» ходят в специальных скафандрах, закрывающих, в том числе, весь волосяной покров (а в ряде случаев - даже с собственной системой дыхания). Для устранения вибраций чистые комнаты располагаются на собственном виброзащитном фундаменте.

Фотолитография является незыблемой основой производства микросхем, и в обозримом будущем ей вряд ли найдется достойная замена. Поэтому имеет смысл рассмотреть ее подробнее. Например, нам нужно создать рисунок в слое какого-то материала - диоксида кремния или металла (это наиболее распространенные в современном производстве операции). Прежде всего, на подложке тем или иным способом создается тонкий (обычно тоньше одного микрона) и сплошной, без дефектов, слой нужного материала. Далее на нем проводится фотолитография. Для этого сперва на поверхность пластины наносится тонкий слой светочувствительного материала, называемого фоторезистом (Фоторезист наносится из жидкой фазы, равномерно распределяется по поверхности пластины вращением в центрифуге и сушится до затвердевания). Затем пластина с фоторезистом помещается в прецизионную установку, где нужные участки поверхности облучаются ультрафиолетом сквозь прозрачные отверстия в фотомаске (ее еще называют фотошаблоном). Маска содержит соответствующий (наносимый на поверхность пластины) рисунок, который разрабатывается для каждого слоя в процессе проектирования микросхемы. Под действием ультрафиолета облученные участки фоторезиста меняют свои свойства так, что становится возможным их селективно удалить в определенных химических реактивах (Существует негативный и позитивный фоторезист. Один при облучении «крепчает», поэтому удаляют его необлученные участки, а другой, наоборот, теряет химическую стойкость, поэтому удаляются его облученные участки. Соответственно, различают позитивную и негативную фотолитографию). После снятия фоторезиста остаются открытыми только те области поверхности пластины, над которыми требуется совершить нужную операцию - например, убрать слой диэлектрика или металла. Они успешно удаляются (эта процедура называется травлением - химическим или плазмохимическим), после чего остатки фоторезиста можно окончательно убрать с поверхности пластины, оголив сформированный в слое нужного материала рисунок для дальнейших действий.Фотолитография завершена.

При производстве современных микропроцессоров приходится совершать операции фотолитографии до 20–25 раз - каждый раз над новым слоем. В общей сложности это занимает несколько недель! В одних случаях это слои изолирующих материалов, служащих подзатворным диэлектриком транзисторов или пассивирующими (изолирующими) прослойками между транзисторами и проводниками. В других - это формирование проводящих поликремневых затворов транзисторов и соединяющих транзисторы металлических проводников (В целях упрощения часть операций иногда совмещают - например, так называемые самосовмещенные затворы изготавливаются на базе одной и той же фотолитографии одновременным формированием рисунка подзатворного диэлектрика и тонкого поликремниевого затвора). В третьих - это формирование селективно легированных областей (главным образом - стоков и истоков транзисторов), причем легирование участков поверхности монокристаллической кремниевой пластины ионизированными атомами различных химических элементов (с целью создания в кремнии полупроводниковых областей n- или p-типа) производится не через окна в фоторезисте (он слишком нестоек для этого), а сквозь рисунок в достаточно толстом слое нанесенного диэлектрика (например, того же оксида кремния). После чего диэлектрик удаляется вместе с фоторезистом.

Иногда применяется и такой интересный метод, как взрывная фотолитография. То есть сперва формируется рисунок (вытравливаются окна в фоторезисте или временном слое диэлектрика), затем на поверхность пластины наносится сплошной слой нового материала (например, металла), и, наконец, пластина помещается в реактив, удаляющий остатки фоторезиста или временный диэлектрик. В результате удаляемый слой как бы «взрывается» изнутри, унося с собой лежащие на нем куски нанесенного последним металла, а в предварительно «открытых» участках (окнах) металл остался и сформировал нужный нам функциональный рисунок (проводников или затворов). И это только верхушка айсберга, называемого микроэлектронной технологией, в основе которой лежит принцип фотолитографии.

Таким образом на поверхности кремниевой пластины создается сложная трехмерная структура толщиной в несколько микрон, которая, собственно, и является электронной схемой. Сверху схема покрывается толстым (микроны) слоем пассивирующего диэлектрика, защищающего тонкую структуру от внешних воздействий. В нем лишь открываются окна для больших, стороной в десятки микрон, квадратных металлических контактных площадок, через которые на схему подаются извне питающие напряжения и электрические сигналы. А снизу механической основой микросхемы служит кремниевая пластина толщиной в сотни микрон. Теоретически, такую схему можно было бы сделать очень тонкой (10–30 мкм) и при желании даже «свернуть в трубочку» без потери функциональности. И подобные работы уже некоторое время ведутся в отдельных направлениях, хотя традиционные кристаллы микросхем (чипы) по-прежнему остаются «несгибаемыми».

После завершения технологических процедур каждый из кристаллов на пластине тестируется (подробнее об этом - в следующей статье), а потом пластина разрезается на отдельные кристаллы (прямоугольные чипы) при помощи алмазной пилы (Перед разрезанием на кристаллы толщина пластины у современных микропроцессоров уменьшается примерно на треть при помощи механической полировки. Это позволяет помещать их в более компактные корпуса. Полировка обратной стороны преследует также цели удаления посторонних материалов с последующим формированием электрического и адгезионного контактов к подложке при корпусировке). Далее каждый чип упаковывается в свой корпус, что позволяет подключать его к другим приборам. Тип упаковки зависит от типа микросхемы и от того, как она будет использоваться. Напоследок все упакованные чипы тестируются еще раз (негодные отбраковываются, годные проходят специальные стресс-тесты при различных температурах и влажности, а также проверку на электростатический разряд), сортируются по характеристикам и соответствию тем или иным спецификациям и отгружаются заказчику.

Технология Intel Copy Exactly

У большинства производителей микросхем оборудование и процессы, используемые в лабораториях для исследований и разработок, отличаются от того, что применяется на фабриках производства готовой продукции. И при переводе производства с опытного на серийное часто возникают серьезные задержки, связанные с тем, что на новом оборудовании требуется заметно дорабатывать и адаптировать технологические процессы, чтобы достичь высокого процента выхода годной продукции, ранее полученного в лабораториях. Это не только задерживает массовое производство, но и приводит к изменениям сотен параметров техпроцессов и даже конечных изделий. То же самое справедливо, если процесс, отлаженный на одной фабрике, переносится на другую с новым оборудованием.

Чтобы предотвратить возможные издержки, корпорация Intel, имеющая уже более десятка полупроводниковых фабрик, несколько лет назад внедрила у себя технологию Copy Exactly, суть которой в том, что при переносе технологии изготовления того или иного продукта из лаборатории на фабрику или между разными фабриками производится полное, до мелочей повторение (дупликация) всего, что с этим техпроцессом связано. Для этого, в частности, менеджеры с заводов участвуют в разработке продукта. А при переносе технологии копируется буквально все - не только входные и выходные параметры процессов (более 500!), но и их протекание, оборудование и параметры его настроек, поставщики исходных материалов для техпроцессов, трубопроводная система, чистые комнаты и даже методики обучения персонала.

Эта новаторская методика переноса технологий оказалась очень успешной. Сегодня она позволяет заводам выходить на полную мощность практически сразу после запуска - в течение нескольких недель. К тому же технология Copy Exactly придает фабрикам одной корпорации большую гибкость: начатые на одном заводе, пластины без ущерба для качества и выхода годных могут быть завершены на другом. А в случае аварии или реорганизации одной из фабрик другие «подхватят» ее дело и бизнес практически не пострадает. Эту технологию по достоинству оценивают и конкуренты - например, AMD и IBM, - хотя между ними в настоящее время она неприменима, поскольку их технологические маршруты несколько различаются.

Полупроводниковые фабрики

Сейчас в промышленности по производству чипов подходит к завершению одна из тех революций, которые раз в десятилетие меняют облик индустрии. Изготовители переходят от подложек диаметром 200 мм к подложкам диаметром 300 мм (см. фото справа), в результате чего появляется возможность заметно удешевить производство микросхем, а вместе с этим - всей электронной полупроводниковой продукции. Дело в том, что подложка диаметром 300 мм обеспечивает 225-процентное увеличение площади кремниевой пластины и 240-процентное увеличение полезного выхода чипов с каждой подложки. Кроме того, значительно улучшаются и экологические характеристики производства, которое требует меньшего расхода химических реактивов и энергии в пересчете на каждый процессор, создает меньше отходов. По данным Intel, по сравнению с заводом, работающим на 200-миллиметровых подложках, новая фабрика выбрасывает на 48% меньше летучих органических веществ, расходует на 42% меньше сверхчистой воды и примерно на 40% меньше энергии. На 50% сокращаются затраты труда.

Современные «300-мм» фабрики - это гигантские промышленные предприятия стоимостью около 2 млрд. долларов и площадью более сотни тысяч квадратных метров. Лишь немногие из современных компаний-производителей чипов (двадцатку лидеров см. во врезке на стр. 34) могут позволить себе вложения в такие дорогие фабрики. Ведь для постройки и дальнейшей эксплуатации подобных предприятий требуется достичь уровня ежегодных продаж в размере как минимум 6 млрд. долларов в расчете на каждую фабрику. Подобные фабрики принято называть «foundry» - один из переводов этого термина на русский язык означает «литейное производство». Название олицетворяет колоссальный индустриальный масштаб: ювелирный процесс изготовления высокотехнологичных элементов микропроцессоров становится на промышленный поток, масштаб которого сравним разве что с масштабом производства продукции огромными металлургическими цехами. В 2000 году, когда продажи чипов были на подъеме, всего десять компаний в мире имели объемы продаж выше 6 млрд. долларов. Из «старой гвардии» сегодня только Intel, IBM, Infineon, AMD, Texas Instruments и Samsung владеют собственными действующими фабриками по производству микросхем на 300-мм подложках. Другие создаются и управляются совместно объединениями компаний - например, «Motorola - Philips - STMicroelectronics - Taiwan Semiconductor». Несомненным лидером в планах постройки новых фабрик является Тайвань. Уже в 2001 году на острове была изготовлена пятая часть всего мирового производства подложек, а к 2010 году эта доля может достичь 40%. На пятки Тайваню наступают Китай, Малайзия и Сингапур - они планируют построить 15 фабрик, пять из которых будут работать на 300-мм пластинах.

У корпорации Intel таких действующих в промышленном масштабе фабрик уже четыре: F11X в Рио-Ранчо (штат Нью-Мексико), две - D1C и D1D - в Хиллсборо (штат Орегон) и недавно введенная в строй Fab 24 в ирландском городке Лейкслип (Leixlip). Все они могут выпускать процессоры по 90-нм технологии; пятая же, Fab 12 в Чандлере (штат Аризона) для 65-нм техпроцесса, будет переведена на 300-мм пластины к 2005 году. А, например, у AMD ввод в строй первой 300-мм фабрики Fab 36 планируется лишь в следующем году, см. обзор на www.terralab.ru/system/33692. Как полагают эксперты, существующие фабрики с 200-мм подложками смогут продержаться «на плаву» до 2005 года, после чего они уже не смогут выдержать ценовой конкуренции с 300-мм процессом. К 2005 году чипы будут делаться по технологии 65 нм, а на микропроцессорах будет интегрировано по миллиарду транзисторов! Чипы станут настолько крошечными, что позволят встраивать сотовые телефоны с голосовым набором номера в авторучку.

Почему фабрики для производства микросхем так дороги (до 5 млрд. долларов)? Полупроводниковые фабрики выполняют наиболее сложные задачи среди всех фабрик в мире. Они используют только специализированные материалы, болты, конструктивные элементы, оборудование и пр. Кроме того, интеловские фабрики, например, почти вдвое больше, чем средний размер подобных заводов в мире. Само здание стоит примерно 25% от общей стоимости фабрики и еще лет десять после постройки остается сооружением, пригодным для решения самых современных задач. Оборудование (установки для фотолитографии, газофазного осаждения, ионной имплантации) и автоматы на этаже стоят остальные 75%.

Дополнительные измерения проводятся для того, чтобы убедиться в виброустойчивости фундамента и установок. Даже если фабрика - внешне одно здание, на самом деле это несколько зданий, отделенных друг от друга набольшими (до 10 см) промежутками, и каждое здание имеет собственный фундамент. Это помогает гасить различные вибрации - как от внешних источников (автотранспорта, поездов), так и собственных вибраций оборудования.

Любопытные факты о первой 300-мм фабрике Intel Fab 11X

  • Автоматизированная система обработки подложек фабрики Fab 11X включает в себя более 5 км монорельсовых дорог и 165 кареток для доставки контейнеров с подложками на обрабатывающие центры завода.
  • Компьютерная система, обслуживающая Fab 11X, насчитывает триста серверов, полтысячи клиентских компьютеров, 25 тысяч гигабайт дисковой памяти, более 40 км оптоволоконного и более 900 км медного сетевого кабеля.
  • Fab 11 значительно превосходит по масштабам все ранее существовавшие в мире полупроводниковые производства. Общая площадь «чистых» помещений завода составляет 27 тысяч квадратных метров. С открытием Fab 11X этот показатель увеличится примерно на 18 тысяч квадратных метров.
  • На пике строительства в сооружении F11X участвовало 3 тысячи человек, отработавших в общей сложности 5,3 млн. часов. При этом уровень травматизма оказался рекордно низким - вчетверо ниже среднестатистического для строительной отрасли США.
  • Чтобы пересечь в среднем темпе все «чистые» помещения Fab 11 и Fab 11X, потребуется не менее десяти минут.
  • Затраты рабочего времени в человеко-часах на каждый день строительства F11X были выше затрат времени на строительство двух жилых домов.
  • На строительство F11X ушло около 50 тысяч кубометров (около 6700 машин) бетона. Таким количеством бетона можно было бы покрыть десятиметровым слоем футбольное поле.
  • Под заводом устроено 1300 подземных кессонов глубиной 15–25 метров каждый. На подземную часть здания ушло больше бетона, чем на надземную.
  • Первоначально берется SiO2 в виде песка, который в дуговых печах (при температуре около 1800°C) восстанавливают коксом:

    SiO2 + 2C = Si + 2CO

    Такой кремний носит название «технический» и имеет чистоту 98-99.9%. Для производства процессоров требуется гораздо более чистое сырье, называемое «электронным кремнием» - в таком должно быть не более одного чужеродного атома на миллиард атомов кремния. Для очистки до такого уровня, кремний буквально «рождается заново». Путем хлорирования технического кремния получают тетрахлорид кремния (SiCl4), который в дальнейшем преобразуется в трихлорсилан (SiHCl3):

    3SiCl4 + 2H2 + Si 4SiHCl3

    Данные реакции с использованием рецикла образующихся побочных кремнийсодержащих веществ снижают себестоимость и устраняют экологические проблемы:

    2SiHCl3 SiH2Cl2 + SiCl4
    2SiH2Cl2 SiH3Cl + SiHCl3
    2SiH3Cl SiH4 + SiH2Cl2
    SiH4 Si + 2H2

    Получившийся в результате водород можно много где использовать, но самое главное то, что был получен «электронный» кремний, чистый-пречистый (99,9999999%). Чуть позже в расплав такого кремния опускается затравка («точка роста»), которая постепенно вытягивается из тигля. В результате образуется так называемая «буля» - монокристалл высотой со взрослого человека. Вес соответствующий - на производстве такая дуля весит порядка 100 кг. Слиток шкурят «нулёвкой»:) и режут алмазной пилой. На выходе – пластины (кодовое название «вафля») толщиной около 1 мм и диаметром 300 мм.
    Слиток шкурят «нулёвкой»:) и режут алмазной пилой. На выходе – пластины (кодовое название «вафля») толщиной около 1 мм и диаметром 300 мм.

    Тут надо сделать отступление. В ближайшее время планируется переход на пластины диаметром в 450 мм, что удвоит площадь пластин. Площадь пластин чрезвычайна важна в экономическом плане. Так как весь рабочий процесс ведётся с одной пластиной, а не с её частями. А значит, чем больше на пластину влазит(чем больше её площадь и чем меньше площадь микросхемы), тем дешевле и быстрее получается производство.
    Например, на одной пластине вмещаются 160 чипов площадью 352 квадратных мм от видеокарты 7950. Или около 250 чипов размером в 250 кв мм intel broadwell. Ядро Cortex-A35, например, занимает 4 кв мм. Так что есть разница, получить за то же время с теми же усилиями 250 процессоров intel или 500.
    Кроме других преимуществ в виде производительности и энергопотребления более совершенный техпроцесс позволяет уменьшить площадь микросхемы, значит можно разместить больше чипов на пластине и микросхема выйдет дешевле.
    Надо ещё отметить тот факт, что Китай подсадил весь мир на свои пластины. Даже интел их не производит, а закупает.

    2.
    На кремниевую подложку\вафлю наносят слой материала, из которого нужно сформировать рисунок. На него наносится фоторезист - слой полимерного светочувствительного материала, меняющего свои физико-химические свойства при облучении светом. Потом производится экспонирование (освещение фотослоя в течение точно установленного промежутка времени) через фотошаблон\маску.


    и удаление отработанного фоторезиста.


    Весь процесс выглядит примерно так:


    Операцию печати рисунка (с разными масками) нужно повторить от ~10 (для самых простых и старых микросхем) до ~40 раз чтобы сформировать все нужные слои на микросхеме (начиная от самих транзисторов, и заканчивая 2–10 слоями металлических соединений). Между операциями фотолитографии пластины подвергаются различной обработке - их греют в печке до 1100 градусов, травят в растворах и плазме.

    На данный момент оптическая литография столкнулась с пределом роста на 57 нм из-за длины волны лазеров. Применяя хитрости вроде фазовых масок, многократной экспозиции, оптической коррекции близости, off-axis illumination, поляризации света - получают минимальные элементы до 22нм.
    В кулуарах конференции Tae-Seung Eom, представляющий компанию Hynix Semiconductor, печально поведал: “Я не хочу разрабатывать двойное паттернирование, но мой босс заставляет. Это просто убивает меня”. “Шаблоны для двойного паттернирования – вот, что не дает мне спать по ночам” - сказал в дискуссии за круглым столом Harry Levinson, руководитель разработок литографических технологий компании AMD. “Но как раз это позволяет мне спокойно спать ночами” - парировал Bert Jan Kamperbeek из компании Mapper Lithography, о которой речь пойдёт немного позже. А сейчас применяется четырёхкратное паттернирование, что ещё более сложно и дорого. Чтобы вы понимали, двойное паттернирование требует два фотошаблона и экспонирования.
    Способ литографии на жестком ультрафиолете EUV даёт теоретический максимум в 16 нм.

    Сколько стоит сделать процессор?
    А теперь немножечко о стоимости процесса. Тут самым интересным является изготовление масок.
    Они не должны иметь ни одного повреждения, и их изготовление обходится очень дорого: от ~7"000$ за комплект для микросхем на 1000нм, ~100"000$ для микросхем на 180нм и до ~5"000"000$ для микросхем на 32нм. А ведь микросхема с первого раза скорее всего не заработает - и после нахождения ошибки маски придётся переделывать. Частично с этой проблемой можно бороться размещая тестовые микросхемы от многих заказчиков на одном наборе масок - тогда все получат по чуть–чуть тестовых микросхем за 1/3–1/10 цены полного набора масок (это называют Shuttle или MPW - multi project wafer).
    Сколько же должен стоить такой процессор, если производство мелкосерийное? Если сравнивать с процессором интел, которых влезает около 250 на пластину, то 5 000 000$ делим на 250 - 20 000 баксов на чип только за маски! А если они не удались с первого раза, то 40 000! За микросхему, Карл! Чтобы выйти на уровень 20 баксов за чип, надо продать 250 тысяч чипов! А ведь мы ещё не учли стоимость разработки, техпроцесса, корпусировки. Только маски!
    По слухам каждая произведённая пластина стоит от 100–400$ для старых технологий на 1000нм, ~1000$ на 180нм и до ~5000$ для самых современных (помимо нанометров тут оказывает влияние и сложность технологии - простая логика дешевле, флеш память дороже, но не в разы).
    Чтобы всё это окупить, надо клепать просто дикие масштабы и иметь достаточно ёмкий рынок, куда все эти миллионы микросхем можно слить. Именно поэтому военка и космос стоят космических денег.

    3. Разрезание пластины. Упаковка на подложку и корпусировка.



    Без комментариев. Хотя перспективно было бы делать теплораспределительные крышки из композитов меди и графена .

    И так с производством и основными проблемами слегка разобрались.

    Сколько стоит фабрика
    Давайте посмотрим, во сколько примерно обойдётся заиметь свой заводик по клепанию чипов.
    Для постройки фабрики требуется около 3 лет и порядка $5млрд (10 млрд за завод с 450 мм вафлями)– именно эту сумму должен будет «отбить» завод в последующие 4 года (к тому времени как появятся новые технологический процесс и архитектура, а прибыль будет переть только по последнему техпроцессу, устаревшие технологии идут по себестоимости; необходимая для этого производительность – порядка 100 рабочих кремниевых пластин в час ).
    Несмотря на всю сложность индустрии, только монополисты работают с видимой прибылью (TSMC, Intel, Samsung и немногие другие), остальные еле сводят концы с концами. Мало кто может себе позволить выпускать чипы. Ещё меньше тех, кто умеет делать маски.
    По всему миру микроэлектроника жесточайше дотируемая отрасль - заводы постоянно выклянчивают освобождение от налогов, льготные кредиты и демпингуют (в Китае пошли ещё дальше - Semiconductor заводы строит за государственный счёт, и потом ими «управляет» - это у них называется Reverse Build-Operate-Transfer). После появления каждой новой технологии (45нм, 32нм...) - первые заводы-монополисты обладающие ей и рубят основную прибыль, а те, кто приходят на 2-5-10 лет позже старта - вынуждены работать практически по себестоимости. В результате денег тут заработать крайне сложно (без монополии и без дотаций).

    Проблемы ВПК и космоса.
    Как видите, заниматься производством электроники, не имея ёмкого рынка просто невыгодно.
    Но что делать военным и Роскосмосу? Ведь у них очень малые заказы и особые требования.
    Такие микросхемы обходятся буквально в космические суммы, когда кусочек кремния может стоить дороже всего танка.
    Это приводит даже к таким анекдотичным проблемам, когда американский F–22 Raptor по прозвищу "золотой" (ибо стоит на вес золота) до недавнего времени летал на процессоре Intel 960mx, разработанном в 1984–м году !
    Можете представить, как дела обстоят у нас. И не удивляйтесь, почему наша техника устарела, а Система Управления Огнём стоит дороже танка и покупается у французов.
    У военных и космонавтов (в России всё перемешано) нет нужды в больших сериях, но у них есть особые требования.

    Это повышенные требования к надёжности кристалла и корпуса, устойчивости к вибрациям и перегрузкам, влажности, большой температурный диапазон.

    В США микросхемы разделяются на коммерческие с диапазоном от 0...70 градусов по Цельсию и индустриальные и военные с диапазоном -40...125С. Не прошедшие проверку понижаются в звании и маркируются, как коммерческие.
    Военные по старой привычке очень ценят керамические корпуса. В советские времена пластик не переносил термоциклирование, был пористый, набирал влагу, плохо переносил мороз. Сейчас этих проблем нет.
    Керамика дороже, меньше вибростойкость и в целом от больших ускорений проволока, которой контактные площадки на кристалле соединены с выводами микросхемы, может отвалится (в пластиковом корпусе проволока «поддерживается» по всей длине пластиком).
    Однако на гражданском рынке нет керамических корпусов. Этакая защита от подделок.

    Ну и отдельно рассмотрим космические требования.
    Когда гамма и рентгеновское излучение (в том числе вторичное, полученное из-за столкновения электронов с корпусом аппарата) проходит через микросхему - в подзатворном диэлектрике транзисторов начинает постепенно накапливаться заряд, и соответственно начинают медленно изменятся параметры транзисторов - пороговое напряжение транзисторов и ток утечки. Обычная гражданская цифровая микросхема уже после 5000 рад может перестать нормально работать (впрочем, человек может перестать работать уже после 500-1000 рад). На низкой орбите 300-500км (там где и люди летают) годовая доза может быть 100 рад и менее, соответственно даже за 10 лет набранная доза будет переносима гражданскими микросхемами. А вот на высоких орбитах >1000km годовая доза может быть 10"000-20"000 рад, и обычные микросхемы наберут смертельную дозу за считанные месяцы. Шанс получить ошибку в конкретном транзисторе пропорционален его объему, а он быстро уменьшается с уменьшением технологии (т.к. транзисторы становятся не только меньше по площади, но и тоньше). Помимо этого, отмечено аномальное увеличение радиационной стойкости с современными толщинами подзатворных диэлектриков (3нм и менее). В целом, на современных стойких тех.процессах (65нм и менее) рутинно получаются микросхемы выдерживающие дозу облучения в 1млн рад , что превышает все разумные требования по стойкости.

    Кроме того существуют Тяжёлые Заряженные Частицы.
    ТЗЧ имеют такую высокую энергию(никакая свинцовая защита не поможет от этого снаряда), что «пробивают» микросхему насквозь (вместе с корпусом спутника), и оставляют за собой «шлейф» заряда. В лучшем случае это может привести к программной ошибке (0 стать 1 или наоборот - single-event upset, SEU), в худшем - привести к тиристорному защелкиванию (single-event latchup, SEL). У защелкнутого чипа питание закорачивается с землей, ток может идти очень большой, и привести к сгоранию микросхемы. Если питание успеть отключить и подключить до сгорания - то все будет работать как обычно. Возможно именно это было с Фобос-Грунтом - по официальной версии не-радиационно-стойкие импортные микросхемы памяти дали сбой уже на втором витке, а это возможно только из-за ТЗЧ (по суммарной набранной дозе излучения на низкой орбите гражданский чип мог бы еще долго работать).
    Методы борьбы:
    1) Следить за потребляемым током, и быстро передергивать питание
    2) Использовать микросхемы на сапфировой подложке (Silicon-on-sapphire, SOS, в более общем виде Silicon-on-insulator, SOI).Пластины кремний-на-сапфире стоят дорого, обрабатывать их сложно, и они имеют ограниченное применение в гражданском секторе - соответственно производство получается дорогим.
    3) Использовать так называемый triple-well процесс - он также очень сильно снижает возможность защелкивания микросхемы за счет дополнительной изоляции транзисторов pn-переходом, но не требует каких-то особенных пластин или оборудования и соответственно само производство намного дешевле кремния на сапфире.
    4) Для исключения программных ошибок блоки могут дублироваться по три блока и ответ принимается таким, каким выдали два блока из трёх.

    Как мы видим, никакого особого космоса тут нет и микросхемы производятся обычным техпроцессом с небольшими особенностями.

    Подведём итоги:
    1. Фотолитография достигла своего технологического потолка и дальнейшее повышение техпроцесса связано с ухищрениями и технологическими трудностями.
    2. Производство мелкой серии стоит космических денег. А значит нужен крайне ёмкий рынок, который не может обеспечить РФ.
    3. Прибыль идёт в основном только первые пару лет, пока кто-то не введёт новые технологические нормы. Дальше идёт работа по себестоимости. Область крайне дотационная, ибо маржу имеют всё-таки не с чипа, а конечного продукта, например бытовой техники. Так что от мечтаний сказочно разбогатеть, продавая русские микросхемы, придётся отказаться.

    Как видим, производство микропроцессоров не может развиваться в России по причине мелкого рынка, а посему приходится закупать, что дают, в Китае, который клепает миллиарды чипов на многочисленных фабриках.
    Военные вынуждены покупать свои игрушки за границей по конским ценникам, с дипломатическими проблемами и без всяких гарантий. Именно поэтому мы видим иностранные СУО сомнительного качества на наших танках, которые стоят дороже самих танков. Кроме того у наших "партнёров" есть замечательная возможность скинуть нам брак и неликвид, совершенно негодный для военных действий. Как известно, надежность - уже лет 10 как является результатом компромисса со скоростью и тепловыделением. И пути повышения и снижения надежности очень хорошо изучены: достаточно например не добавлять 1% меди в алюминиевые соединения, или отжигать микросхему не в дейтерии, а в водороде - и срок службы сократиться в 10 раз. Обнаружит ли это тестирование - еще вопрос.

    То есть, электронная литография снимает технологический барьер по тонким техпроцессам .
    Кроме того, электронным пучком можно управлять. Как на 3Д-принтере, только отклоняют его не механическим способом. И он, как зд-принтер или луч развёртки на экране, способен сформировать любую структуру. А это значит, что маска больше не нужна .
    Единичные микросхемы для экспериментов учёных уже давно делаются таким образом.

    Однако, в промышленности этот метод не используют. Почему?
    Вечная проблема всех 3D-принтеров. Скорость работы.
    Насколько медленно?
    Для типичных хороших электрон-резистов - экспозиция получается порядка 30 микрокулон на квадратный сантиметр. Это значит, что один луч с током 10nA (10 нанокулон в секунду) засветит 300мм пластину площадью 706 см2 за 706*30/(10*0.001) = 24 дня
    Грубо говоря, 10 процессоров в сутки. Ни о чём. Ваще.

    Но выход есть!
    Выход нашла нидерландская фирма Mapper Litography . Она предлагает распараллелить электронный пучок на множество пучков, каждый из которых будет открываться\закрываться и отклоняться в пределах 2 микрометров.
    У Mapper - один мощный источник электронов(энергия 5kV, то есть рассеяние будет ещё ниже, чем на ранее приведённом рисунке), коллиматор (электростатическая линза, фокусирующих их так, чтобы получался широкий параллельный пучок электронов). Затем этот широкий пучок попадает на матрицу бланкеров (на фото справа) - фактически пластина с дырками, у одной из стенок которых - отклоняющий электрод. Когда на электрод подают напряжение - электроны отклоняются и не попадают дальше никуда. Если тока нет - так параллельным пучком и летят дальше.


    Слева - Mapper, справа - обычный электронный микроскоп.
    Для каждого луча\дырки идут индивидуальные дефлекторы, которые могут отклонять каждый луч примерно на 2 микрометра вдоль одной оси (перпендикулярно движению пластины). И наконец - для каждого луча своя электростатическая линза для фокусировки.
    Управляют отклоняющими электродами с помощью лазера, видимо чтобы проводники не вносили искажений в «не свои» каналы.

    В результате такую систему намного проще масштабировать - все эти микропластинки с «дырками» изготавливаются по уже отработанным MEMS техпроцессам на серийных заводах, и при необходимости их можно масштабировать и дальше. Электронная оптика максимально упрощена (=удешевлена) - за счет того, что отклонять каждый луч нужно на совсем небольшое расстояние (2 микрона), да еще и вдоль одной оси.
    В начале 2012 года в рамках программы IMAGINE компания MAPPER достигла размерности элементов 22 нм, что соответствует следующим технологическим стандартам в микроэлектронике - 14 нм и 10 нм. Вполне современно, как по мне.
    Модель Matrix 1.1, имеет 1300 лучей и обрабатывает одну вафлю за час.
    Модель Matrix 10.1, имеет 13 260 лучей и обрабатывает 10 вафель в час.
    Для достижения промышленной производительности предлагается объединять десять установок в кластер.
    А это уже серьёзно. По взрослому.

    Цена вопроса:
    Производитель ориентируются на стоимость, сравнимую с EUV сканерами из расчета на 1 пластину в час (~500тыс$/wph). Т.к. максимальная производительность у Mapper на одной установке получается 10 пластин в час, для получения тех же ~100 пластин в час - систему предлагается ставить в нескольких экземплярах.
    То есть, одна установка на 10 пластин в час стоит примерно 5 млн долларов, а кластер - всего 50 млн долларов. Вполне доступно, как по мне.
    Впрочем, тут утверждается, что прогнозируемая стоимость установок E-beam (€50–60 млн) . Надеюсь, это за кластер. Впрочем, и пол-миллиарда не астрономическая сумма в масштабах страны за свою электронику.
    Когда же система пойдет в серийное производство - можно ожидать дальнейшего снижения стоимости, т.к. тут нет самых больных мест оптической фотолитографии - источника света (и EUV и ArF лазеры стоят больших денег), сложного и чудовищно дорогого объектива и фотошаблонов, которые нужно изготавливать для каждого нового типа изготовляемых микросхем. А электронная микрооптика - изготовляется серийно хоть в миллионе экземпляров без проблем.

    А группа китайских учёных из Канады предложила способ использования крайне дешёвого полистирола в качестве фоторезиста в электронно-лучевой литографии, позволяя создавать 3D объекты до 1.5 микрон высотой при необычайно малой толщине (ниже сотни нанометров).
    При этом повышается чувствительность, а вместе с ней и производительность всего метода в целом, так как требуется меньше времени на создание одного «пикселя» и увеличивается производительность. Суть предложенного метода заключается в том, что полистирол наносится на подложку за счёт термического испарения, а затем после воздействия электронного пучка экспонированную область можно легко растворить смесью ксилолов.


    К тому же, при желании можно одновременно «рисовать» на изогнутых поверхностях и даже создавать волноводы на таких поверхностях.

    Наши возможности.
    Инвесторами являются TSMC (Тайвань), STMicroelectronics (Франция) и...Роснано .
    В России в 2014 году приступил к работе завод МЭМС(Микроэлектромеханические системы), построенный специально для этих целей и выпускающий электроннооптическую часть данного литографа. Кроме того, такой завод может производить кучу важных датчиков, например, акселерометров, гироскопов, магнитных, барометрических и даже DLP-чипов для проекторов.

    То есть насадка на электронный микроскоп, превращающий его в литограф, у нас есть. Но я не слышал о российских электронных микроскопах. Зато слышал об украинских . А это значит, что теоретически мы могли бы производить данные литографы для себя сами, даже в условиях автаркии.

    Возможности и перспективы.
    Применение данной технологии означает только одно: отныне имеет важность и стоимость только площадь чипа.
    Что это значит?
    Это значит, что каждый производитель сможет разработать свой чип с минимальным энергопотреблением, в котором не будет ничего лишнего. Ему больше не нужны универсальные контроллеры. Ему не важен объём заказа. Хоть одна микросхема. Стоимость имеет только площадь чипа и исследовательские работы.

    Я уже вижу этакий электронный магазин IP-ядер. Где ты выбираешь себе части, которые будут в микросхеме. Вот ядро arm или mips процессора, вот видеоядро, вот вайфай, вот usb 3.0. Ты не рассматриваешь, какой чип из существующих тебе подходит. Ты создаёшь чип под себя, наполняя его стандартными элементами.

    Для инженеров это значит, что в любой момент чип можно исправить или улучшить. И не надо тратить сотни тысяч на новую маску. А это значит, что развитие техники пойдёт быстрее. Модными станут опенсорс-ядра, они начнут стремительно развиваться. Проверить новую идею или архитектуру в железе будет стоить буквально копейки. При этом все микросхемы будут изготавливаться по самому совершенному техпроцессу.

    Для военных и космоса это означает, что всю электронику можно и нужно будет переделать под свои задачи, учитывая особенности применения в архитектуре. Вся электроника танка или самолёта может быть интегрирована в одну специализированную микросхему, где данными радара будет заниматься большое множество примитивных, заточенных под задачу, параллельных процессоров, а общее "руководство" будет на сложных производительных ядрах общего применения. И всё это будет интегрировано в один чип по цене обычного гражданского процессора. СУО, которая стоит пол-танка станет стоить копейки. ВПК кардинально преобразуется, станет более интеллектуальным, производительным, гибким.

    Что приятно, так это возможность постепенно наращивать производство, поставив в кластер сначала 2 машины, потом ещё одну-две-три, сколько угодно. Это очень удобно для нашего маленького рынка и плановой экономики. При этом производство будет сразу по самым современным нормам.

    Итого, мы имеем чрезвычайно гибкое производство по последним технологическим нормам(с запасом), которое легко масштабируется и совсем не зависит от величины серии микросхем. Идеально для автаркии!

    Мальчики и девочки, это и есть новый техноуклад! Когда производство индивидуальных вещей ничуть не отличается от массовой штамповки. Это индустрия 4.0, детка! Эта та самая основа технологического могущества страны, с которой и надо бы начинать строительство сверхдержавы.
    И лучше потратить полмиллиарда или даже 10 млрд на это, чем 2,5 в год на ролс-ройсы и или 6 млрд в месяц на облигации госдолга США .

    Где размещать производство?

    К сожалению, перенос структуры на подложку является только одной частью техпроцесса. Основной же стоимостью фабрики являются именно "чистые помещения". Кроме чистых помещений ещё требуются установки по осаждению металлов и тд и тп.
    Так что нельзя поставить по одной установке в каждом городе и сделать распределённую промышленность, как я бы хотел. Ибо концентрированная промышленность уязвима для ударов противника, диверсий и саботажа.
    Однако, это оборудование может быть установлено с минимальными затратами на предприятиях с устаревшим оборудованием. И если Микрон выходит на 65 нм и пока в модернизации не сильно нуждается, то вот эти производства можно модернизировать смело, Родина много не потеряет.

    Ангстрем-Т
    Хрен поймёшь, что с ним. Вроде ещё не окончен. Обещают 90нм. Так как он в процессе, самое время лепить туда электронную литографию. и делать его основным центром производства.

    НИИСИ РАН
    Или как его еще называют - «Курчатник». Нормы 350 нм. Объём производства - считанные пластины в день, работает на оборонку. Думаю, что одного такого литографа на 10 пластин в час для армии достаточно.
    Но завод должен контроллироваться полностью военными специалистами, которые бы следили за техпроцессом, содержанием меди, дейтерием и прочими технологическими требованиями за качеством микросхем.

    Ангстрем («старый»)
    Производство с нормами 600нм на пластинах диаметром 150мм (8тыс пластин в месяц) и 100мм, 1200нм кремний-на-сапфире/карбид кремния (4тыс. пластин в месяц).

    Интеграл.
    Долгое время Белорусский Интеграл обладал технологией 800нм, но несколько лет назад наконец смогли запустить 350нм производство на пластинах 200мм, с объемом производства 1000 пластин в месяц. Также есть своё производство «чистых» пластин диаметром 200мм для 350нм линейки.

    1. Общие сведения о микросхемах и технологии их изготовления.

    Тактико-технические, конструктивно-технологические, эксплуатационные и экономические характеристики ЭВМ и систем определяют примененные в них микросхемы , выполняющие функции преобразования, хранения, обработки, передачи и приема информации.

    Микросхемой (интегральной микросхемой - ИМС, интегральной схемой - ИС) называют функционально законченный электронный узел (модуль), элементы и соединения в котором конструктивно неразделимы и изготовлены одновременно в едином технологическом процессе в общем кристалле-основании.

    Теория, методы расчета и изготовления микросхем составляют основу микроэлектроники - современной наукоемкой отрасли техники.

    По конструктивно-технологическому исполнению микросхемы делятся на полупроводниковые и гибридно-пленочные . Полупроводниковые микросхемы имеют в своей основе монокристалл полупроводникового материала (обычно кремния), в поверхностном слое которого методами литографии и избирательного легирования создаются транзисторы, диоды, резисторы и (иногда) конденсаторы, а соединения между ними формируются по поверхности кристалла с помощью тонкоплёночной технологии . Полупроводниковые микросхемы могут быть однокристальными (монолитными) и многокристальными (микросборками) . Однокристальная микросхема может иметь индивидуальный герметизированный корпус с внешними выводами для монтажа на коммутационной (печатной) плате, или быть бескорпусной и входить в состав микросборки.

    Многокристальная микросхема (микросборка) представляет собой совокупность бескорпусных микросхем, смонтированных на общей коммутационной плате . В качестве компонентов в микросборке могут присутствовать бескорпусные согласующие резисторы и развязывающие конденсаторы. Вследствие высокой насыщенности связей коммутационная плата выполняется многоуровневой и, таким образом, является миниатюрным аналогом многослойной печатной платы. При изготовлении коммутационной платы может быть использована как тонкоплёночная, так и толстоплёночная технологии.

    Гибридно-плёночные микросхемы включают в себя плёночные пассивные элементы (резисторы и конденсаторы), коммутационные проводники, нанесённые непосредственно на подложку из изоляционного материала, и бескорпусные полупроводниковые кристаллы (транзисторы, диоды, диодные матрицы, несложные микросхемы), монтируемые на той же подложке. Пассивные элементы и проводники могут быть выполнены по тонкоплёночной или толстоплёночной технологии.

    В качестве активных элементов в полупроводниковых микросхемах используются униполярные (полевые) транзисторы со структурой “металл – диэлектрик (оксид) – полупроводник” (МДП- или МОП-транзисторы ) и биполярные транзисторы. В соответствии с этим все полупроводниковые микросхемы делятся на три основные вида: биполярные, униполярные (МДП или МОП) и биполярно-полевые.

    Число элементов в интегральной микросхеме характеризует ее степень интеграции . По этому параметру все микросхемы условно делят на малые (МИС – до 10 2 элементов на кристалл), средние (СИС – до 10 3), большие (БИС – до 10 4), сверхбольшие (СБИС – до 10 6), ультрабольшие (УБИС – до 10 9) и гигабольшие (ГБИС – более 10 9 элементов на кристалл).

    Наиболее высокой степенью интеграции обладают цифровые интегральные схемы с регулярной структурой: схемы динамической и статической памяти, постоянные и перепрограммируемые ЗУ. Это связано с тем, что в таких схемах доля участков поверхности ИС, приходящаяся на межсоединения, существенно меньше, чем в схемах с нерегулярной структурой.

    Укрупненные схемы технологических процессов изготовления полупроводниковых (монолитных) и гибридно-пленочных ИС приведены соответственно на рис. 1 и 2. В последующих разделах приведено описание характерных особенностей выполнения отдельных технологических операций, в основном определяющих основные параметры интегральных микросхем.

    Рис. 1. Укрупненная схема технологического процесса изготовления полупроводниковых (монолитных) ИС.


    Рис. 2. Укрупненная схема технологического процесса изготовления гибридно-пленочных ИС.

    Процесс изготовления современных полупроводникоых ИС весьма сложен. Он проводится только в специальных помещениях с микроклимитом на прецезионном оборудовании. В настоящее время для создания полупроводниковых ИС на биполярных транзисторах используется несколько разновидностей технологических процессов, отличающихся главным образом способами создания изоляции между отдельными элементами . Основные технологические операции изготовления полупроводниковых микросхем можно разделить на шесть этапов.

    1. Подготовка слитков к резке на пластины. Первоначально выращивают слиток кремния, затем этот слиток готовят к резке на пластины - отрезают затравочную и хвостовую часть, а также удаляют части слитка с электрофизическими параметрами, не соответствующими установленным нормам или с недопустимыми требованиями. Калибровка выполняется шлифовкой по образующей поверхности слитка (круглое шлифование) шлифовальным кругом. После калибровки торцы слитка подшлифовывают так, чтобы они были строго перпендикулярны геометрической оси слитка, а для удаления механически нарушенного слоя и загрязнений слиток травят. Контроль кристаллографической ориентации торца слитка и базового среза выполняется рентгеновским или оптическим методами. Базовый и дополнительные срезы получают сошлифовыванием слитка по образующей алмазным кругом на плоско-шлифовальном станке. Для получения срезов слиток соответствующим образом закрепляют в специальном зажиме. После базового среза слиток разворачивают в зажиме, закрепляют и сошлифовывают вспомогательный срез. После шлифования срезов слиток травят.

    2. Резка слитков на пластины. Резка слитка является важной операцией в маршруте изготовления пластин, она обуславливает ориентацию поверхности, толщину, плоскостность и параллельность сторон, а также прогиб.

    Основным методом резки кремниевых слитков на пластины является резка диском с внутренней режущей алмазосодержащей кромкой. Отрезаемые пластины в зависимости от устройства станков переносятся вакуумным съемником или остаются на оправке. Пластины после резки подвергаются очистке от клеющих, смазочных материалов, частиц пыли.

    Преимущества резки диском с внутренней режущей кромкой: высокая скорость резания (до 40 мм/мин); хорошее качество обработки поверхности (8-ой класс шероховатости); малый разброс по толщине пластин (±20 мкм); небольшие отходы материала.

    Недостатки резки диском с внутренней режущей кромкой: сложность установки алмазного диска, его натяжения и центровки, зависимость качества и точности обработки от точности и качества инструмента.

    Этот метод в сравнении с другими методами обеспечивает лучшее качество пластин и большую производительность процесса.

    3.Шлифование пластин кремния. Под шлифованием понимают процесс обработки поверхностей заготовок на твердых дисках - шлифовальниках из чугуна, стали, латуни, стекла и других материалов с помощью инструментов - шлифовальников и абразивной суспензии (обработка свободным абразивом) или с помощью алмазных шлифовальных кругов (обработка связанным абразивом).

    Процесс двустороннего шлифования свободным образивом выполняется на специальных станках. Перед шлифованием пластины сортируют по толщине. Контролируют неплоскостность рабочей поверхности шлифовальников, в случае необходимости выполняют правку - подшлифовку с кольцевыми притирами. Затем шлифовальники очищают от пыли и других загрязнений, промывают водой смазывают глицерином. На поверхность нижнего шлифовальника устанавливают зубчатые кольца сепараторы, которые должны иметь специальные допуски по толщине, а толщина должна быть несколько меньше требуемой после шлифования толщины пластин. Обрабатываемые поверхности укладывают в отверстия сепараторов.

    При вращении верхний шлифовальник свободно устанавливается на поверхности пластин. Движение шлифовальника через цевочные колеса передается сепараторам. Пластины, увлекаемые сепараторами совершают сложные перемещения между шлифовальниками, чем достигается равномерность их обработки и износа шлифовальников.

    Для двустороннего шлифования применяют водные и глицериновые суспензии микропорошков карбида кремния зеленого или электрокорунда белого с зернистостью от М14 до М5.

    Этот метод более производителен, обеспечивает высокую точность обработки поверхности, не требует наклейки пластины.

    4.Снятие фаски. Фаски с боковых поверхностей пластин можно снимать абразивной обработкой либо химическим травлением собранных в специальной кассете заготовок. Наиболее часто фаски снимают методом шлифовки профильным алмазным кругом на специальном станке.

    5.Полирование пластин. Полировка обеспечивает минимизацию микронеровностей поверхности пластин и наименьшую толщину нарушенного слоя.Её производят на мягких доводочных полировальниках (круги обтянутые замшей, фетром, батистом, велюром) с помощью использования алмазной пасты, суспензии.

    Полирование выполняют в несколько этапов, постепенно уменьшая размер зерна и твердость абразива, а на последнем этапе полностью исключают абразивное воздействие на обрабатываемый материал. Последний этап безабразивного воздействия позволяет полностью удалить механически нарушенный слой с поверхности пластины.

    Существует несколько методов полирования:

    · Механическое (предварительное и промежуточное) полирование. Его выполняют алмазными суспензиями и пастами с размером зерна от 3 до 1 мкм. Механическое полирование по своей сущности не отличается от шлифования, отличие состоит лишь в применяемых абразивных материалах, их зернистости, материале полировальника и режиме обработки. При использовании для полирования алмазных суспензий и паст на поверхности пластин образуется тонкая сеть рисок (“алмазный фон”), возникающих под действием острых режущих граней алмазных зерен. С целью удаления “алмазного фона” и уменьшения шороховатости поверхности иногда выполняют механическое полирование более мягкими абразивными материалами.

    · Тонкое механическое полирование выполняется мягкими полировальными составами на основе оксидов алюминия, кремния, хрома, циркония и других размером зерна менее 1 мкм с помощью полировальников из ворсовых материалов, в которых могут утопиться субмикронные зерна порошка. Это уменьшает рабочую поверхность зерен и улучшает качество обработки поверхности пластин.

    · Химико-механическое полирование. Оно отличается тем, что кроме обычного абразивного воздействия поверхность подвергается химическому воздействию. Полирующие составы - суспензии, золи, гели из субмикронных порошков оксидов кремния (аэросил), циркония, алюминия - приготавливаются на основе щелочи.

    Выберем механическое полирование, которое будет выполняться алмазной суспензией из порошка АСМ3, односторонняя, частота вращения полировальника не более 30…40 об/мин. При переходе на порошок АСМ1 частоту вращения полировальника снижаем, нагрузку на пластину увеличиваем. После полировки пластину надо тщательно промыть в мыльных растворах.

    6.Физическая очистка. Для последующих операций очень важна чистота поверхности. Поэтому перед началом, а также неоднократно в течение технологического цикла производят очистку , удаляя посторонние вещества с помощью промывки, растворения и т.п. Процессы очистки пластин и подложек предназначены для удаления загрязнений до уровня, соответствующего технологически чистой поверхности. Наиболее важна очистка поверхности после механической обработки, перед термическими процессами, перед нанесением различного рода покрытий, плёнок, слоёв. При очистке в первую очередь необходимо удалить молекулярные органические и химически связанные с поверхностью загрязнения, а затем - остаточные ионные и атомарные. При физической жидкостной очистке происходит десорбция адсорбированных поверхностью загрязнений без изменения их состава, т.е. без химических реакций, путем простого растворения. Поскольку возможно обратное загрязнение поверхности из очищаемой жидкости, необходимо следовать принципу ее непрерывного обновления (освежения).

    Обезжиривание (отмывка) в органических растворителях (толуоле, четыреххлористом углероде, дихлорэтане, спиртах: этиловом, метиловом, изопропиловом и др.) применяется для удаления с поверхности пластин (подложек) жиров животного и растительного происхождения, минеральных масел, смазок, воска, парафина и других органических и механических соединений.

    Обезжиривание погружением выполняют в специальных герметичных установках с двумя-четырьмя сваренными в единый блок ваннами с повышающимся уровнем жидкости. Контролируемыми параметрами процесса обезжиривания для данного количества пластин и данной порции конкретного растворителя и время обработки.

    Обезжиривание в парах растворителя применяют для удаления малорастворимых с высокой температурой плавления загрязнений. Для обработки в парах применяют изопропиловый спирт, фреоны, хлорированные углеводороды. Недостатки данного метода: необходимость предварительной очистки растворителей; необходимость создания герметичных рабочих камер установок; большие расходы растворителя.

    Ультразвуковое обезжиривание выполняют в специальных ваннах, дно и стенки которых совершают колебания с ультразвуковой частотой. Данный метод обеспечивает гораздо большую производительность, и улучшают качество не только обезжиривания, но и других операций жидкостной обработки.

    7.Отмывка водой применяется для очистки полярных растворителей после обезжиривания, от остатков травителей, флюсов, кислот, щелочей, солей и других соединений. Также как и в органических растворителях, отмывка в воде сопровождается растворением загрязнений или механическим смыванием пылинок ворсинок и других частиц. Отмывку выполняют в подогретой до 50 … 60 °С деионизованной воде.

    8. Химическая очистка. Этот вид обработки предусматривает разрушение загрязнений или поверхностного слоя очищаемого обьекта в результате химических реакций.

    Хорошие результаты обеспечивает очитка кремния в растворе” Каро” . Именно этот метод будет использован в данном курсовом проекте - очистку смесью Каро с последующей более “мягкой”

    очисткой в перекисно-аммиачном растворе. Классический состав смеси Каро для химической очистки поверхности кремния и оксида кремния, объёмное соотношение компонент находится в пределах

    H 2 SO 4: H 2 O 2 = 3:1

    Химическая очистка в этой смеси проводится при Т = 90 -150 о С. Смесь Каро позволяет очистить поверхность полупроводниковой пластины от органических загрязнений и, частично, от ионных и атомарных примесей. Кислота Каро устойчива в кислых средах и является очень сильным окислителем. Эта смесь способна очистить поверхность кремниевой пластины и от неметаллических загрязнений.

    9.Эпитаксия. Эпитаксия - процесс наращивания монокристаллических слоев на монокристаллических подложках. Монокристаллические подложки в процессе эпитаксиального наращивания выполняют ориентирующую роль заставки, на которой происходит кристаллизация. Основная особенность - слои и локальные области противоположного типа проводимости или с отличной от полупроводниковой пластины концентрацией примеси представляют собой новые образования над исходной поверхностью. В процессе роста эпитаксиальные слои легируют, т.е. в них вводят донорные или акцепторные примеси. Особенностью также является то, что появляется возможность получения высокоомных слоев полупроводника на низкоомных пластинах.

    При жидкофазовой эпитаксии атомы растущего слоя оседают на подложку из расплава или раствора, из которого необходимо вырастить соответствыущий слой. Второй вид эпитаксии - из парогазовой фазы - который и будет использоваться в данной технологии, основан на взаимодействии газа с пластиной. Здесь важными параметрами процесса является температуры газового потока и пластины. Можно использовать тетрахлорид кремния SiCl 4 либо силан SiH 4 .

    Хлоридный метод основан на использовании химического взаимодействия паров тетрахлорида кремния с чистым водородом при Т =1200 о С:

    SiCl 4 (газ) + 2H 2 (газ) = Si(тв) + 4HCl(газ)

    Скорость роста эпитаксиального слоя может быть ограничена либо процессами массопереноса, т.е. количеством подводимых к поверхности подложек молекул реагентов или отводимых диффузией от подложки продуктов химических реакций, либо скоростями химических реакций. Основной недостаток - высокие температуры процесса, приводящие к диффузии примесей из пластин в растущий слой, а также автолегированию. Кроме того, обратимость реакции восстановления тетрахлорида требует высокой точности поддержания режима осаждения слоя.

    Силановый метод основан на использовании необратимой реакции

    термического разложения силана:

    SiH 4 ------------->Siv+2H 2 ^

    Установка для выращивания слоев эпитаксиальных слоев силановым методом близка по устройству к установке, используемой в хлоридном методе, и для предосторожности при работе с моносиланом она снабжается системой для откачки воздуха и следов влаги. Совершенные монокристаллические слои получаются при температурах разложения моносилана 1000 … 1050 °С, что на 200 … 150°С ниже чем при восстановлении тетрахлорида кремния. Это уменьшает нежелательную диффузию и автолегирование, что позволяет изготовить эпитаксиальные структуры с более резкими границами переходов. Скорость роста слоев выше чем при восстановлении тетрахлорида кремния.

    Недостаток этого метода - самовоспламеняемость и взрывоопасность моносилана, требующие специальных мер предосторожности. Токсичность силана.

    В данном курсовом проекте будем использовать SiCl 4 . т.к. с этим газом удаётся выращивать монокристаллические слои кремния, сохраняющие кристаллическую ориентацию кремниевой подложки без поверхностных нарушений.

    Процесс эпитаксиального наращивания будет происходить в эпитаксиальном реакторе.

    10.Оксидирование. Оксидирование можно проводить несколькими способами, такими как анодное оксидирование, катодное напыление оксидного слоя, либо термическое оксидирование кремния. Термическое оксидирование, как и другие высокотемпературные процессы предъявляют жесткие требования к кремниевым исходным слиткам (нежелательно содержание в них кислорода и углерода), к качеству процессов изготовления и очистки пластин. Оксидирование кремния сопровождается: диффузией кислорода под слой диоксида кремния; обогащением поверхностного слоя толщиной 1…2 мкм кислородом выше предела растворимости за счет напряженного состояния решетки кремния; взаимодействием кислорода с дефектами исходной пластины и генерацией дополнительных дислокаций и дефектов упаковки. На дефектах быстро скапливаются примеси диффундирующих металлов натрия, меди, железа и др. Поскольку именно в этом тонком слое формируются элементы ИМС, все это приводит к деградации их электрических параметров. Концентрацию кислорода в при поверхностном слое кремния снижают при отжиге пластин кремния в атмосфере азота при 1000 … 1100 °С. Поиск путей совершенствования процесса термического оксидирования привел к появлению модификаций метода термического оксидирования кремния.

    Нанесение плёнок SiO на пластины кремния термическим окислением кремния при атмосферном давлении в горизонтальных цилиндрических кварцевых реакторах - наиболее распространённый метод. Температура окисления лежит в интервале 800…1200 о С и поддерживается с точностью ± 1 о С для обеспечения однородности толщины плёнок. Будем производить комбинированное окисление как в сухом кислороде, т.к. в этом случае плёнки SiO 2 получаются высокого качества, несмотря на то, что скорость окисления в этих условиях мала, так и во влажном кислороде (происходит всё с точностью до наоборот).

    Основные реакции:

    1. сухое оксидирование в атмосфере чистого кислорода:

    Si(тв) > SiO 2 (тв)

    2. влажное оксидирование в смеси кислорода с водяным паром:

    Si(тв) + 2H 2 O > SiO 2 (тв) + H 2

    Скорость оксидирования определяется самым медленным этапом диффузионного проникновения окислителя сквозь растущую пленку к границе раздела SiO 2 >Si. Коэффициенты диффузии сильно зависят от температуры. При низких температурах коэффициенты диффузии, а следовательно, скорость роста пленки малы. Повысить скорость роста можно либо увеличением давления в реакционной камере установки, либо повышением температуры процесса.

    11.Фотолитография. Суть процесса фотолитографии состоит в следующем. Чувствительные к свету фоторезисты наносятся на поверхность подложки и подвергаются воздействию излучения(экспонированию). Использование специальной стеклянной маски с прозрачными и непрозрачными полями (фотошаблона) приводит к локальному воздействию на фоторезист и, следовательно, к локальному изменению его свойств. При последующем воздействии определенных химикатов происходит удаление с подложки отдельных участков пленки фоторезиста, освещенных и неосвещенных в зависимости от типа фоторезиста (проявления). Таким образом, из пленки фоторезиста создается защитная маска с рисунком, повторяющим рисунок фотошаблона.

    В зависимости от механизма фотохимических процессов, протекающим под действием излучения, растворимость экспонированных участков может либо возрастать, либо падать. Соответственно, при этом фоторезисты является либо позитивными, либо негативными. Пленка позитивного фоторезиста под действием излучения становится неустойчивой и растворяется при проявлении, пленка негативного фоторезиста, наоборот, под действием излучения становится нерастворимой, в то время как неосвещенные участки при проявлении растворяются.

    Свойства фоторезистов определяются рядом параметров:

    · Чувствительность к излучению

    В свою очередь, существуют некоторые критерии чувствительности: высокие защитные свойства локальных участков.

    · Разрешающая способность фоторезиста.

    · Кислостойкость (стойкость фоторезистов к воздействию агресивных травителей)

    Технологический процесс фото литографии проводится в следующей последовательности:

    1. Очистка поверхности подложки;

    2. Нанесение фоторезиста (ФП-330) и распределение его по всей поверхности с помощью центрифугирования;

    3. Сушка фоторезиста (15 мин при Т = 20 о С).

    4. Совмещение фотошаблона с подложкой:

    5. Экспонирование - засветка через фотошаблон УФ-лучами, t = 1ч2с;

    6. Проявление: химическая обработка в специальных проявителях;

    7. Задубливание производят для окончательной полимеризации оставшегося фоторезиста: термообработка при Т = 120 о С, t = 20мин;

    8. Травление оксида кремния водным раствором плавиковой кислоты, лучше применяют буферные добавки солей плавиковой кислоты;

    9. Удаление фоторезиста производится в щелочных средах.

    10. Промывка пластины кремния в деионизованной воде с использованием УЗ и сушат при Т = 120 о С.

    Для изготовления фотошаблонов используется, в основном, два метода. Первый метод основан на сочетании оптических и прецизионных механических процессов. Суть метода состоит в механическом вырезании первичного оригинала (увеличенного в 200…500 раз рисунка), в последующем фотографическом уменьшении размеров рисунка и его мультиплицировании. Во втором методе - фотоноборе - весь топологический рисунок разделяется на прямоугольники различной площади и с различным отношением сторон в зависимости от формы составляющих его элементов. Эти прямоугольники последовательной фотопечатью наносятся на фотопластинку, где, в конечном счете, образуется промежуточный фотошаблон с десятикратным увеличением рисунка по сравнению с заданным.

    В данном курсовом проекте будем использовать позитивный фоторезист, т.е. свет разрушает полимерные цепочки: растворяются засвеченные участки. Позитивные фоторезисты обеспечивают более резкие границы растворённых (проявленных) участков, чем негативные, т.е. обладают повышенной разрешающей способностью, но имеют меньшую чувствительность и требуют большего времени экспонирования. Фотошаблон будет представлять собой стеклянную пластину, на одной из сторон которой нанесена тонкая непрозрачная плёнка Cr. Несколько капель раствора фоторезиста необходимо нанести

    на окисленную поверхность кремниевой пластины, а потом с помощью центрифуги его распределить тонким (около 1мкм) слоем, высушить.

    Существует контактная фотолитография, при которой фотошаблон плотно прилегает к поверхности подложки с нанесённым фоторезистом, и бесконтактная.

    Бесконтактная фотолитография на микрозазоре основана на использовании эффекта двойного или множественного источника излучения. УФ-лучи подаются на фотошаблон под одинаковым углом, за счёт чего дифракционные явления сводятся к минимуму, и повышается точность передачи рисунка. Недостатком является очень сложное оборудование. Проекционная фотолитография основана на упрощённом процессе совмещения, т.к. с помощью специальных объективов изображение фотошаблона проектируется на пластину.

    Удаление фоторезиста обычно производят в щелочных составах (NaOH).

    12.Легирование. Легирование - введение примесей в пластину или эпитаксиальную плёнку. При высокой температуре (около 1000 о С) примесные атомы поступают через поверхность и распространяются вглубь вследствие теплового движения. Легирование полупроводников бывает трёх видов:

    1. Диффузионное легирование - основано на использовании известного явления диффузии, т.е. направленного перемещения частиц вещества в сторону убывания их концентрации. Движущей силой является градиент концентрации атомов или молекул вещества. При диффузии выпрямляющие или концентрационные контакты получают в исходной пластине, изменяя ее свойства легированием на необходимую глубину. Диффузионные слои имеют толщины от сотых долей микрометров. Отличительной особенностью является неравномерное распределение концентрации примеси по глубине: концентрация максимальна возле поверхности и убывает вглубь слоя. Концентрация и распределение примеси во многом определяются свойствами примеси, легируемого материала и источника примеси.

    2. Ионное легирование - осуществляется ионизированными атомами примеси, имеющими энергию, достаточную для внедрения в полупроводник. Также необходим отжиг для устранения радиационных нарушений структуры полупроводника и для электрической активации донорных и акцепторных примесей. Основной особенностью является возможность воспроизводимого получения заданной концентрации примеси на данной глубине практически на любой площади пластины. Это обусловлено тем, что можно с большой точностью задавать ток ионного луча. Распределениями примесей можно легко управлять в широких пределах, изменяя дозу облучения, энергию и угол падения ионов. Ионы примеси получают в специальных источниках, ускоряют и фокусируют в электрическом поле. Пучок ионов бомбардирует подложку. Ионы примеси размещаются в кристаллической решётке. Характеристики ионнолегированных слоев получаются более воспроизводимыми, чем при диффузии.

    3. Радиационно-стимулиронанная диффузия - основана на внедрении примеси в результате бомбардировки кристалла лёгкими ионами с энергией, достаточной для смещения атомов подложки. Облучение проводится в процессе термообработки (t = 600-700 о С) или непосредственно перед ней.

    Для данного курсового проекта будет использована высокотермическая диффузия, т.к. недостатком ионной имплантации является нарушение структуры поверхностного слоя и увеличение дефектов, а также сложность технологического оборудования. Диффузия будет проводиться традиционным методом открытой трубы из газообразных источников (BBr 3 ,PH 3) и твёрдых источников (оксид сурьмы).

    13. Металлизация. Все системы металлизации, применяемые в настоящее время, можно разделить на следующие типы: однослойная, многослойная, многоуровневая, объемная (объемные выводы).

    · Однослойная аллюминевая металлизация применяется преимущественно в ИМС малой степени интеграции, маломощных, работающих на частотах до 1 ГГц, не рассчитанные на высокие требования к надежности.

    · Многослойная металлизация в ряде случаев полнее отвечает предъявляемым требованиям, но менее технологична, т.к. содержит не один слой металла. Обычно состоит из нескольких слоев: контактный слой - первый по порядку нанесения на кремниевую пленку (вольфрам, молибден, хром, никель, алюминий, титан, палладий, силициды тугоплавких металлов); разделительный слой - применяется в случаях, когда сложно подобрать согласующиеся материалы контактного и проводящего слов; проводящий слой - последний по порядку нанесения слой металлизации, должен иметь хорошую электропроводность и обеспечивать качественное надежное подсоединение контактных площадок к выводам корпуса (медь, алюминий, золото)

    · Многоуровневая металлизация применяется в больших и сверхбольших ИМС. Увеличение числа элементов увеличивает и площадь межэлементных соединений, поэтому их размещают в несколько уровней.

    В данном курсовом проекте будем проводить однослойная аллюминевую металлизацию.

    14.Скрайбирование. Осуществлять скрайбирование необходимо алмазным резцом. Это приводит к образованию в пластине сравнительно глубоких (до 50…100мкм) и узких (до 25…40мкм) канавок. Достоинством этого скрайбирования является простота и низкая стоимость.

    Разламывание пластин на кристаллы после скрайбирования необходимо осуществлять механически, приложив к ней изгибающий момент. Эту операцию выполняется на сферической опоре.

    Достоинством этого способа являются простота, высокая производительность (ломка занимает не более 1…1.5мин) и одностадийность, а также достаточно высокое качество, так как кристаллы не смещаются относительно друг друга.

    Укрупненные схемы технологических процессов изготовления полупроводниковых (монолитных) приведена ниже.

    Рис. 1.

    Опишем технологический процесс производства интегральной микросхемы генератора напряжения.

    На первоначальном этапе происходит формирование слитков кремния и резка этих слитков алмазными дисками с внутренней режущей кромкой на пластины - базовые кристаллы, на которых будут сформированы в последствии элементы микросхем. Поверхность кристалла тщательно шлифуют для устранения поверхностных повреждений, полученных в результате резки. Производят полировку, причем разными материалами - алмазной суспензии, порошкообразными материалами. Затем производят очистку с целью удаления поверхностного слоя, в которых находятся поверхностные механические напряжения. Для этого над поверхностью пластины пропускают HCl при высокой температуре и обмывают кристалл деионизованной водой, растворами моющих порошков, проточной воде и, затем, сушат пластину до полного высыхания.

    На следующем этапе производят окисление поверхности кристалла с целью образования двуокиси кремния с определенной толщиной.

    Это делается для того, чтобы при проведении легирования, легированным оказался не весь кристалл, а только определенный участок.

    Соответственным образом поверх слоя двуокиси кремния наносят слой фоторезиста, контактным (или другим способом) производят процесс фотолитографии. При этом используется фотошаблон (см. приложение). Открытые участки проявляют, задубливают и ликвидируют, и таким образом получают участок двуокиси кремния для последующего травления.

    Образовавшиеся окна травят, в результате область подложки становится открытой для последующего легирования и образования скрытого n+ слоя. Слой фоторезиста ликвидируют. Поверхность оксида кремния тщательным образом очищают, омывают в проточной деионизованной воде и сушат центрифугированием. Таким образом, подложка становится полностью готовой для проведения операции легирования.

    Для получения высоколегированного слоя n+ типа, производится высокотермическая диффузия сурьмой до предела ее растворимости. Таким образом, формируется скрытый n+ слой. Производится разгонка сурьмы в n+ кармане.

    Слой двуокиси кремния стравливают в плавиковой кислоте, образуется открытая поверхность подложки с тремя участками высоколегированного слоя. Поверхность подложки тщательно очищают химическими методами и омывают в проточной деионизованной воде. После проведения этих операций, подложка становится готовой к проведению эпитаксиального наращивания кремния n-типа проводимости. Таким образом получают т.н. коллекторный слой, который присутствует в структурах активных элементов, и в этом же слое формируются резисторы среднего номинала (5кОм, 10 кОм), также этот слой присутствует в структуре МДП-конденсатора.

    Далее производят разделительную диффузию с целью отделения одних элементов от других. Для этого повторяют ранее описанные процессы: нанесение слоя двуокиси кремния, нанесение фоторезиста, совмещение с фотошаблоном (см. приложение), экспонирование, проявление, удаление засвеченных участков фоторезиста, травления слоя двуокиси кремния в окне фоторезиста. После этого производят разделительную диффузию путем легирования бора в эпитаксиальный слой на поверхности подложки.

    Для каждого элемента таким образом образовался свой эпитаксиальный слой. Далее производят диффузию фосфора в эпитаксиальный слой с целью создания базовой области. Для этого повторяют ранее описанные процессы: нанесение слоя двуокиси кремния, нанесение фоторезиста, совмещение с фотошаблоном, экспонирование, проявление, удаление засвеченных участков фоторезиста, травления слоя двуокиси кремния в окне фоторезиста. Затем производится легирование фосфором (см. приложение). Базовая область используется как база у активных элементов и в качестве резистивного слоя у резисторов.

    Далее создаются области, которые у активных элементов используются как эмиттерная область, у резисторов она может отсутствовать. Перед этим производится совокупность ранее описанных процессов: нанесение слоя двуокиси кремния, нанесение фоторезиста, совмещение с фотошаблоном, экспонирование, проявление, удаление засвеченных участков фоторезиста, травления слоя двуокиси кремния в окне фоторезиста. Затем производится легирование сурьмы (см. приложение) и ликвидация фоторезиста и слоя двуокиси кремния с последующей тщательной очисткой поверхности.

    После этого кристалл готов к нанесению на его поверхность внешней изоляции и нанесения алюминиевых выводов на базовую, коллекторную имиттерную области кристалла. Для этого производят тщательную очистку поверхности кристалла и осаждают нитрид кремния. Затем производят нанесение фоторезиста, совмещение с фотошаблоном, экспонирование, проявление, удаление засвеченных участков фоторезиста, травления слоя нитрида кремния в окне фоторезиста и удаление фоторезиста со вcей поверхности нитрида кремния.

    Затем на всю поверхность кристалла наносят сплав алюминия и кремния методом катодного распыления. Далее производят операцию фотолитографии и травление алюминия. Таким образом производится электрическое соединение элементов схемы в соответствии со схемой электрической принципиальной.

    Вся поверхность кристалла подлежит тщательной очистке и сушке центрифугированием. Затем на поверхность кристалла наносится слой двуокиси кремния методом окисления моносилана. Производится изготовление окон в изоляционном слое для соединения токоведущих дорожек микросхемы с внешними выводами.